On Effective TSV Repair for 3D-Stacked Ics
Li Jiang; Qiang Xu; Bill Eklow
2012
会议名称IEEE/ACM Design, Automation, and Test in Europe (DATE)
会议地点德国
英文摘要3D-stacked ICs that employ through-silicon vias (TSVs) to connect multiple dies vertically have gained wide-spread interest in the semiconductor industry. In order to be commercially viable, the assembly yield for 3D-stacked ICs must be as high as possible, requiring TSVs to be reparable. Existing techniques typically assume TSV faults to be uniformly distributed and use neighboring TSVs to repair faulty ones, if any. In practice, however, clustered TSV faults are quite common due to the fact that the TSV bonding quality depends on surface roughness and cleaness of silicon dies, rendering prior TSV redundancy solutions less effective. To resolve this problem, we present a novel TSV repair framework, including a hardware architecture that enables faulty TSVs to be repaired by redundant TSVs that are farther apart, and the corresponding repair algorithm. By doing so, the manufacturing yield for 3D-stacked ICs can be dramatically improved, as demonstrated in our experimental results.
收录类别EI
语种英语
内容类型会议论文
源URL[http://ir.siat.ac.cn:8080/handle/172644/3906]  
专题深圳先进技术研究院_集成所
作者单位2012
推荐引用方式
GB/T 7714
Li Jiang,Qiang Xu,Bill Eklow. On Effective TSV Repair for 3D-Stacked Ics[C]. 见:IEEE/ACM Design, Automation, and Test in Europe (DATE). 德国.
个性服务
查看访问统计
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。


©版权所有 ©2017 CSpace - Powered by CSpace